Skip to content

Commit 99855d9

Browse files
vk2sebwhitequark
authored andcommitted
backends: s/ilang/rtlil as a result of YosysHQ/yosys#4704
1 parent af2c5ab commit 99855d9

File tree

7 files changed

+18
-18
lines changed

7 files changed

+18
-18
lines changed

amaranth/back/cxxrtl.py

+2-2
Original file line numberDiff line numberDiff line change
@@ -23,8 +23,8 @@ def _convert_rtlil_text(rtlil_text, black_boxes, *, src_loc_at=0):
2323
script = []
2424
if black_boxes is not None:
2525
for box_name, box_source in black_boxes.items():
26-
script.append(f"read_ilang <<rtlil\n{box_source}\nrtlil")
27-
script.append(f"read_ilang <<rtlil\n{rtlil_text}\nrtlil")
26+
script.append(f"read_rtlil <<rtlil\n{box_source}\nrtlil")
27+
script.append(f"read_rtlil <<rtlil\n{rtlil_text}\nrtlil")
2828
script.append("write_cxxrtl")
2929

3030
return yosys.run(["-q", "-"], "\n".join(script), src_loc_at=1 + src_loc_at)

amaranth/back/verilog.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -12,7 +12,7 @@ def _convert_rtlil_text(rtlil_text, *, strip_internal_attrs=False, write_verilog
1212
yosys = find_yosys(lambda ver: ver >= (0, 40))
1313

1414
script = []
15-
script.append(f"read_ilang <<rtlil\n{rtlil_text}\nrtlil")
15+
script.append(f"read_rtlil <<rtlil\n{rtlil_text}\nrtlil")
1616
script.append("proc -nomux -norom")
1717
script.append("memory_collect")
1818

amaranth/vendor/_altera.py

+3-3
Original file line numberDiff line numberDiff line change
@@ -222,7 +222,7 @@ class AlteraPlatform(TemplatedPlatform):
222222
* ``verbose``: enables logging of informational messages to standard error.
223223
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
224224
* ``synth_opts``: adds options for ``synth_intel_alm`` Yosys command.
225-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
225+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
226226
* ``script_after_synth``: inserts commands after ``synth_intel_alm`` in Yosys script.
227227
* ``yosys_opts``: adds extra options for ``yosys``.
228228
* ``nextpnr_opts``: adds extra options for ``nextpnr-mistral``.
@@ -373,9 +373,9 @@ class AlteraPlatform(TemplatedPlatform):
373373
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
374374
{% endfor %}
375375
{% for file in platform.iter_files(".il") -%}
376-
read_ilang {{file}}
376+
read_rtlil {{file}}
377377
{% endfor %}
378-
read_ilang {{name}}.il
378+
read_rtlil {{name}}.il
379379
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
380380
synth_intel_alm {{get_override("synth_opts")|options}} -top {{name}}
381381
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

amaranth/vendor/_gowin.py

+2-2
Original file line numberDiff line numberDiff line change
@@ -376,9 +376,9 @@ def _osc_div(self):
376376
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
377377
{% endfor %}
378378
{% for file in platform.iter_files(".il") -%}
379-
read_ilang {{file}}
379+
read_rtlil {{file}}
380380
{% endfor %}
381-
read_ilang {{name}}.il
381+
read_rtlil {{name}}.il
382382
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
383383
synth_gowin {{get_override("synth_opts")|options}} -top {{name}} -json {{name}}.syn.json
384384
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

amaranth/vendor/_lattice.py

+6-6
Original file line numberDiff line numberDiff line change
@@ -319,7 +319,7 @@ class LatticePlatform(TemplatedPlatform):
319319
* ``verbose``: enables logging of informational messages to standard error.
320320
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
321321
* ``synth_opts``: adds options for ``synth_<family>`` Yosys command.
322-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
322+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
323323
* ``script_after_synth``: inserts commands after ``synth_<family>`` in Yosys script.
324324
* ``yosys_opts``: adds extra options for ``yosys``.
325325
* ``nextpnr_opts``: adds extra options for ``nextpnr-<family>``.
@@ -348,7 +348,7 @@ class LatticePlatform(TemplatedPlatform):
348348
* ``verbose``: enables logging of informational messages to standard error.
349349
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
350350
* ``synth_opts``: adds options for ``synth_nexus`` Yosys command.
351-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
351+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
352352
* ``script_after_synth``: inserts commands after ``synth_nexus`` in Yosys script.
353353
* ``yosys_opts``: adds extra options for ``yosys``.
354354
* ``nextpnr_opts``: adds extra options for ``nextpnr-nexus``.
@@ -474,9 +474,9 @@ class LatticePlatform(TemplatedPlatform):
474474
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
475475
{% endfor %}
476476
{% for file in platform.iter_files(".il") -%}
477-
read_ilang {{file}}
477+
read_rtlil {{file}}
478478
{% endfor %}
479-
read_ilang {{name}}.il
479+
read_rtlil {{name}}.il
480480
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
481481
{% if platform.family == "ecp5" %}
482482
synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
@@ -566,9 +566,9 @@ class LatticePlatform(TemplatedPlatform):
566566
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
567567
{% endfor %}
568568
{% for file in platform.iter_files(".il") -%}
569-
read_ilang {{file}}
569+
read_rtlil {{file}}
570570
{% endfor %}
571-
read_ilang {{name}}.il
571+
read_rtlil {{name}}.il
572572
delete w:$verilog_initial_trigger
573573
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
574574
synth_nexus {{get_override("synth_opts")|options}} -top {{name}}

amaranth/vendor/_siliconblue.py

+3-3
Original file line numberDiff line numberDiff line change
@@ -26,7 +26,7 @@ class SiliconBluePlatform(TemplatedPlatform):
2626
* ``verbose``: enables logging of informational messages to standard error.
2727
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
2828
* ``synth_opts``: adds options for ``synth_ice40`` Yosys command.
29-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
29+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
3030
* ``script_after_synth``: inserts commands after ``synth_ice40`` in Yosys script.
3131
* ``yosys_opts``: adds extra options for ``yosys``.
3232
* ``nextpnr_opts``: adds extra options for ``nextpnr-ice40``.
@@ -121,9 +121,9 @@ class SiliconBluePlatform(TemplatedPlatform):
121121
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
122122
{% endfor %}
123123
{% for file in platform.iter_files(".il") -%}
124-
read_ilang {{file}}
124+
read_rtlil {{file}}
125125
{% endfor %}
126-
read_ilang {{name}}.il
126+
read_rtlil {{name}}.il
127127
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
128128
synth_ice40 {{get_override("synth_opts")|options}} -top {{name}}
129129
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

tests/utils.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -104,7 +104,7 @@ def assertFormal(self, spec, ports=None, mode="bmc", depth=1):
104104
smtbmc
105105
106106
[script]
107-
read_ilang top.il
107+
read_rtlil top.il
108108
prep
109109
{script}
110110

0 commit comments

Comments
 (0)